Skip to main content
    • by 
    •   8  
      Materials EngineeringChemical EngineeringField emissionChemical Vapor Deposition
For Abstract see ChemInform Abstract in Full Text.
    • by 
    •   3  
      EngineeringChemical Vapor DepositionCHEMICAL SCIENCES
Transparent conducting ZnO:F was deposited as thin films on soda lime glass substrates by atmospheric pressure chemical vapor deposition (CVD) deposition at substrate temperatures of 480–500 °C. The precursors diethylzinc,... more
    • by 
    •   20  
      EngineeringMaterials EngineeringMaterials ChemistryCrystal Growth
    • by 
    •   21  
      EngineeringCarbonCatalystsScanning Electron Microscopy
    • by 
    •   3  
      EngineeringChemical Vapor DepositionCHEMICAL SCIENCES
    • by 
    •   12  
      Renewable EnergyThin Films and CoatingsEnergyEnergy and Environment
    • by 
    •   16  
      ChemistryElectron DiffractionNanowireMultidisciplinary
    • by  and +2
    •   12  
      Mechanical EngineeringRadial Basis FunctionModelingOptimization
    • by 
    •   7  
      EngineeringCrystal GrowthCrystal EngineeringChemical Vapor Deposition
Extensive research and development programs on the (Very) High Temperature gas cooled Reactor (V/HTR) are being conducted by many countries mainly promoted by the attractiveness of this concept and its capability for other applications... more
    • by 
    •   10  
      EngineeringModelingEngineering DesignOptimization
    • by 
    •   22  
      EngineeringSupply Chain ManagementSemiconductor ManufacturingEnvironmental modeling
    • by  and +1
    •   13  
      MicroelectronicsElectron MicroscopyRaman SpectroscopyScanning Electron Microscopy
    • by 
    •   12  
      Materials EngineeringCondensed Matter PhysicsChemical Vapor DepositionThin Film
Self-assembled Si nanowires can be grown using chemical vapor deposition accelerated by metal catalyst nanoparticles. The diameter of the nanowires depends on the size of the nanoparticles, which in turn can be controlled by varying the... more
    • by 
    •   15  
      CatalystsEpitaxial GrowthSelf AssemblyNanoparticles
    • by 
    •   8  
      EngineeringAtomic Layer DepositionChemical Vapor DepositionDiffusion
    • by 
    •   5  
      EngineeringChemical Vapor DepositionMOCVDThin Film
    • by 
    •   4  
      EngineeringChemical Vapor DepositionThin FilmCHEMICAL SCIENCES
    • by 
    •   9  
      EngineeringMaterials ChemistryChemical Vapor DepositionThin Film
    • by 
    •   3  
      EngineeringChemical Vapor DepositionCHEMICAL SCIENCES
    • by  and +1
    •   3  
      EngineeringChemical Vapor DepositionCHEMICAL SCIENCES
    • by  and +1
    •   5  
      Chemical Vapor DepositionBipolar transistorsPower TransistorElectrical And Electronic Engineering
    • by 
    •   5  
      EngineeringChemical Vapor DepositionThin FilmsThin Film
    • by  and +2
    •   5  
      EngineeringChemical Vapor DepositionPhysical sciencesCHEMICAL SCIENCES
CVD Tanımı
CVD Çalışma Prensibi
CVD Reaksiyon Türleri
CVD Kullanım Alanları, Örnekleri, Avantajları ve Dezavantajları
CVD Çeşitleri
CVD ile ALD & PVD Farkları
    • by  and +1
    •   8  
      Thin Films and CoatingsThin film (Physics)Chemical Vapor DepositionCVD instrumentation and fabrication
    • by 
    •   4  
      ElectronicsChemical Vapor DepositionElectronic CigarettesCigarettes
CVD nedir,CVD yapilma yontemleri ,
yontemlerin farklari, avantajlari,PCV ve CVD farki
    • by 
    •   11  
      Chemical EngineeringChemistryPhysical ChemistryNanotechnology
    • by 
    •   6  
      Materials EngineeringChemical Vapor DepositionDiamondChip
En este experimento se determinó la presión de vapor de un líquido que en este caso fue el agua, utilizando la relación presión y temperatura. Se evalúo diferentes temperaturas para calcular la entalpía de vaporización del líquido usado a... more
    • by  and +1
    •   6  
      Chemical Vapor DepositionFisicoquímicaPresion De Vaporlaboratorio de fisicoquimica
    • by 
    •   12  
      Materials EngineeringTransmission Electron MicroscopyAmorphous MaterialsChemical Vapor Deposition
    • by 
    •   8  
      Carbon NanotubeOPERATING SYSTEMChemical Vapor DepositionOrganic Semiconductor Laser
    • by  and +1
    •   15  
      Condensed Matter PhysicsThin Films and CoatingsTribologyImmersion and Experience
Recent progress with indium (III) sulfide (In2S3)-buffered thin film solar cells (TFSC) was briefly reviewed. In2S3 has emerged as a promising low-hazard buffer (or window) material, and has proven to improve the properties of the solar... more
    • by 
    •   21  
      PhotovoltaicsSolar CellThin film solar cellsOrganic solar cells
    • by 
    •   16  
      Chemical EngineeringCatalysisCarbon NanotubesCarbon Nanotube
This article provides an overview of the state-of-the-art chemistry and processing technologies for silicon nitride and silicon nitride-rich films, i.e., silicon nitride with C inclusion, both in hydrogenated (SiN x :H and SiN x :H(C))... more
    • by 
    •   20  
      Microelectronics And Semiconductor EngineeringCeramic TechnologyThin Films and CoatingsMechanical Behavior Of Materials
The chemical vapor deposition (CVD) of metals is a rapidly developing area in which metal-containing compounds are being synthesized as new precursors. This article reviews this area and discusses precursor design, reaction pathways,... more
    • by 
    •   3  
      EngineeringChemical Vapor DepositionCHEMICAL SCIENCES
    • by 
    •   7  
      EngineeringTechnologyChemical Vapor DepositionGrowth
    • by 
    •   3  
      EngineeringChemical Vapor DepositionCHEMICAL SCIENCES
    • by  and +1
    •   3  
      EngineeringChemical Vapor DepositionCHEMICAL SCIENCES
    • by 
    •   3  
      EngineeringChemical Vapor DepositionCHEMICAL SCIENCES
    • by 
    •   9  
      BiomassMineralsBIOCHARCarbon Nanotubes
journal homepage:papers.itc.pw.edu.pl Numerical modeling of the CO2 desorption process coupled with phase transformation and heat transfer in a CCS installation
    • by 
    •   20  
      EconomicsFuel CellsEpitaxial GrowthMathematical Modelling
A stagnation point cold-wall reactor was used for the CVD of corundum alumina (α-Al2O3) on metallic substrates. Depositions were carried out under low pressure using the thermally induced pyrolytic oxidation of aluminum tri-isopropoxide... more
    • by 
    •   4  
      EngineeringChemical Vapor DepositionThin FilmCHEMICAL SCIENCES
    • by  and +1
    •   4  
      EngineeringChemical Vapor DepositionThin FilmsCHEMICAL SCIENCES
    • by 
    •   4  
      Electron MicroscopyNanotechnologyChemical Vapor DepositionNanoscience
    • by 
    •   5  
      EngineeringChemical Vapor DepositionThin FilmCHEMICAL SCIENCES
Aim of this research is to develop an effective way in producing multi-walled carbon nanotubes (MWNTs) via chemical vapour deposition method using acetylene as carbon source and synthesized mesoporous M/MCM-41 (M = Ni, Co and Fe) as... more
    • by 
    •   4  
      CatalystsCarbon NanotubesChemical Vapor DepositionMCM-41
Nanostructured α-Fe2O3 thin film electrodes were deposited by aerosol-assisted chemical vapour deposition (AACVD) for photoelectrochemical (PEC) water splitting on conducting glass substrates using 0.1 M methanolic solution of Fe(acac)3.... more
    • by 
    •   6  
      Thin Films and CoatingsPhotoelectrochemistryChemical Vapor DepositionSolar Energy
Zinc sulphide thin films were prepared by chemical bath deposition (CBD) and the properties of these films are compared with those deposited by Physical vapour deposition (PVD). The variation in the optical and electrical properties of... more
    • by 
    •   25  
      EngineeringMaterials EngineeringTechnologyCrystal Growth
    • by 
    •   4  
      EngineeringChemical Vapor DepositionCHEMICAL SCIENCESPolycrystalline Silicon
    • by 
    •   18  
      EngineeringMembrane ScienceMorphologyChemical Vapor Deposition